文档详情

EDA工具入门讲座-黄乐天.ppt

发布:2018-03-12约2.33千字共54页下载文档
文本预览下载声明
EDA工具入门讲座 ——ModelSim and Quartus II 黄乐天 电子科技大学-飞思卡尔 无线通信与嵌入式系统联合实验室 2007年9月19日 What is the ModelSim for? 典型的验证环境 ModelSim的主界面 第一步 建立projects Project Name (*.mpf) Project Location (可自己指定) Library Name (默认) 第二步 添加文件 需要添加:design 和test bench 第三步 编译文件 第四步 仿真配置 第四步 仿真配置(续) 第四步 仿真配置(续) 第五步 仿真/验证 第五步 仿真/验证(续) 第五步 仿真/验证(续) 主要命令: Run (step) Run all (non-stopping) Break (stop the non-stopping) Reset End Simulation or quit 第五步 仿真/验证(续) 第五步 仿真/验证(续) 思考题 根据仿真波形,各位同学能否较全面的描述这个设计所实现的功能? 第六步 观测数据流(Dataflow) 第六步 观测数据流(Dataflow) 思考题答案 这个设计实现的是复位信号低电平有效、同步复位的模6计数器。 Quartus II使用简介 Quartus II是Altera公司为自己的FPGA开发的一套集成开发环境,支持原理图输入、文本输入、内存编辑以及由第三方EDA工具产生的EDIF网表输入、VQM格式输入等。 本次讲座主要讲解以文本输入为例,简单的介绍Quartus II的开发流程。 第一步 新建项目 第一步 新建项目(续) 第一步 新建项目(续) 第一步 新建项目(续) 第一步 新建项目(续) 第一步 新建项目(续) 修改设置的方法 修改设置的方法(续) 第二步 设计编译、综合 第三步 查看综合后的电路 第三步 查看综合后的电路(续) 第三步 查看综合后的电路(续) 第四步 分配引脚 第四步 分配引脚(续) 第四步 分配引脚(续) 第四步 分配引脚(续) 第五步 启动编译器 第五步 启动编译器(续) 分析工具举例(Timing Analyzer) 第六步 查看适配结果 第六步 查看适配结果(续) Modelsim 对特定库进行仿真 Modelsim不但可以对设计完成功能验证,还可以对综合后的文件进行时序仿真。 Modelsim对特定的库进行仿真需要加载库文件、综合后的网表文件、时延信息描述 本次讲座主要介绍Modelsim如何对QuartusII中的特定库进行仿真 设计文件的Verilog代码 module test5(Qout,clk); input clk; output [3:0]Qout; reg [3:0]Qout; always@(posedge clk) case(Qout) 4h0: Qout=4h1; 4h1: Qout=4h2; 4h2: Qout=4h3; 4h3: Qout=4h4; 4h4: Qout=4h5; 4h5: Qout=4h6; 4h6: Qout=4h7; 4h7: Qout=4h8; 4h8: Qout=4h9; 4h9: Qout=4h0; default: Qout=4h0; endcase endmodule testbench `timescale 1ns/1ps module tptest5(); reg clk; wire [3:0] Qout; test5 test(Qout,clk); initial begin #4000 $finish; end always #5 clk = ~clk; initial begin clk=0; end endmodule 功能验证 使用QuartusII前的设置 使用QuartusII对设计文件进行综合 使用QuartusII综合后的输出文件 .vo文件为设计的描述文件(网表形式) .sdo文件为时延信息描述文件(SDF) 在Modelsim中进行添加库文件和综合后网表文件 由于综合时选用的器件属于MAXII系列,需要将器件添加器件模型信息。该文件的所在目录为Quartus安装目录中eda/sim_lib 中。注意需要添加后缀为.v的文件。 将综合后的.vo文件也添加进项目中 添加SDF文件
显示全部
相似文档