文档详情

EDA实验数码管显示电路.doc

发布:2017-04-19约字共3页下载文档
文本预览下载声明
实验二:数码管扫描显示电路 实验目的 采用混合工程,将模4计数器,3选1多路复用器,2-3译码器以及7段译码器混合编程,最终使三位数码管显示1,2,3; 实验方法 采用基于FPGA进行数字逻辑电路设计的方法。其中利用原理图,硬件描述语言画出最终电路原理图,从而达到实验结果; 实验步骤 编译好3选1多路复用器,2-3译码器并保存; 新建工程名为scan-led3的文件,目标器件为EPF10K20TC144-3; 将将模4计数器,3选1多路复用器,2-3译码器的源文件导入工程中; 建立电路图文件并完成电路图连接; 按照实验要求完成管脚分配; 打开波形图文件,插入节点和节点集合,另外要把输入节点组中得din0,din1,din2改成16进制,其它为2进制; 进行仿真,产生波形; 将设计下载到芯片中并使三位数码管稳定显示1,2,3; 实验原理 1、3选1多路复用器 程序设计为: library IEEE; use IEEE.std_logic_1164.all; entity mux4_3_1 is port( d0,d1,d2:in STD_LOGIC_VECTOR(3 downto 0); sel: in STD_LOGIC_VECTOR(1 downto 0); dout:out STD_LOGIC_VECTOR(3 downto 0) ); end mux4_3_1; architecture rtl of mux4_3_1 is begin dout= d0 when sel=00else d1 when sel=01else d2; end rtl; 2、2-3译码器电路图为: 3、scan-led3的电路图为: 4、scan-led3的仿真波形图: 五、实验总结: 这次实验由于是小组分工完成,所以我完成的是3-选1多路复用器和scan-led3的电路图绘制;虽然其他部分并非我单独完成,但还是熟悉了基本流程而且有了不同的了解和认识: 首先在3选1多路复用器中认识到一种新的分配管脚的方法——就是有点击File-New-Tcl script File,利用TCL脚本语言分配管脚;(以前用的就是点击Assignments-Pins来分配管脚。) 此外在进行最后的实验时遇到几处问题但都解决了,另外通过对原理图的了解对数码管扫描显示电路有了一些了解:首先是三位数码管分别由输入节点组din0[3..0],din1[3..0],din2[3..0]控制;也就是说din0[3..0]四位引脚控制一位数码器的显示,当din0[0..3]为“0011”时则数码管显示为“3”,其他原理也是如此;
显示全部
相似文档