eda实验考试二十进制计数器.docx
文本预览下载声明
EDA考试实验报告姓名:班级:电子学号:112092题目:设计一个带计数使能、同步复位、带进位输出的增1二十进制计数器,结果由共阴极七段数码管显示。实验目的1考察对计数器程序的掌握程度2考察对设计的程序进行编译、仿真以及下载到试验箱上进行验证的过程二、源程序Library ieeeUseieee.std_logic_1164.all;Useieee.std_logic_unsigned.all;entity counter isport(clk,clk1,clr,en:in std_logic;co:outstd_logic;ledout:outstd_logic_vector(6 downto 0);scanout:outstd_logic_vector(1 downto 0));end counter;architecturertl of counter issignalcnt:std_logic_vector(4 downto 0);signalscan:std_logic_vector(1 downto 0):=01;signalhex:integer range 0 to 9;signalgewei,shiwei:integer range 0 to 9;beginprocess(clk)beginif(clkevent and clk=1)thenifclr=1 thencnt=(others=0);elsif en=1 thenifcnt=10011 thencnt=00000;co=1;elsecnt=cnt+1;co=0;end if;end if;end if;end process;process(cnt)begincasecnt iswhen00000=gewei=0;shiwei=0;when00001=gewei=1;shiwei=0;when00010=gewei=2;shiwei=0;when00011=gewei=3;shiwei=0;when00100=gewei=4;shiwei=0;when00101=gewei=5;shiwei=0;when00110=gewei=6;shiwei=0;when00111=gewei=7;shiwei=0;when01000=gewei=8;shiwei=0;when01001=gewei=9;shiwei=0;when01010=gewei=0;shiwei=1;when01011=gewei=1;shiwei=1;when01100=gewei=2;shiwei=1;when01101=gewei=3;shiwei=1;when01110=gewei=4;shiwei=1;when01111=gewei=5;shiwei=1;when10000=gewei=6;shiwei=1;when10001=gewei=7;shiwei=1;when10010=gewei=8;shiwei=1;when others=gewei=9;shiwei=1;end case;end process;process(clk1)beginif(clk1event and clk1=1)thenif(scan=”00” or scan=”11”)thenscan=”01”;elsescan=not scan;end if;end if;end process;hex=gewei when scan =01 else shiwei;with hex selectledout=0111111 when 0,0000110 when 1,1011011 when 2,1001111 when 3,1100110 when 4,1101101 when 5,1111101 when 6,0000111 when 7,1111111 when 8,1101111 when others;scanout=scan;endrtl;实验结果及分析仿真结果管脚配置实验分析:
显示全部