文档详情

工学vhdl程序设计语言.pptx

发布:2019-11-05约1.03万字共199页下载文档
文本预览下载声明
? 课程简介;;? VHDL语言;在Max+PlusII编写VHDL程序; 基本顺序语句 (1)Process语句 (2)If-Else语句 (3)Case-When语句 (4)Null语句 (5)Wait until语句 (6)变量赋值语句 (7)For-Loop语句 (8)过程调用语句;常用数字电路回顾;注:EN为1时编码器工作;举例;(2)译码器;VHDL与数字电路设计 ;第一章 VHDL的程序结构和软件操作;第一章 VHDL的程序结构和软件操作;(2)ENTITY定义 作用:定义本设计的输入/出端口,即定义电路的外观, 即I/O接口的类型和数量使用格式: ;(3)ARCHITECTURE定义 作用:定义实体的实现。即电路的具体描述,说明电路执 行什么动作或实现功能。 ;在Max+plusⅡ系统中有4个库能支持VHDL语言,它们分 别是Std库、IEEE库、Altera库和Lpm库。Std库和IEEE库 提供基本的逻辑运算函数及数据类型转换函数等。IEEE 库中的程序包std_logic_1164定义了std_logic和 std_logic_vector等数据类型。;举例:;Library IEEE; Use std.standard.all; Entity and2 is Port( A: in bit; B: in bit; Y:out bit); End and2; ;Architecture Na of and2 is Begin Y=’0’ when a=’0’ and B= ‘0’ else’0’ when A=’1’ and B = ‘0’ else ’0’ when A=’0’ and B = ‘1’ else ‘1’; End Na;Architecture Nb of and2 is Begin c =’1’ when a=’1’ and b = ‘1’ else ‘0’; End Nb; ;1-2软件操作—Max+plusⅡ的操作 ;1-2软件操作—Max+plusⅡ的操作 ;我们通过范例介绍:利用Max+plusⅡ系统;1-2-1 建立和编写一个VHDL语言的工程文件;(2)在编辑窗口中进行编辑输入,输入相应的描述语句。 ;1-2-2 VHDL程序的编译 ;1-2-3 VHDL语言程序的仿真 ;(2)打开仿真器;点击主菜单MAX+plusⅡ\Simulator项,此时弹出Simulator 对话框。点击对话框的Start按钮,仿真即开始。在仿真结束后打开仿真波 形文件(点击右下角的Open SCF按钮)即可以显示仿真结果。 ;1-2-4 芯片的时序分析;1-2-5 安排芯片脚位 ;第二章 VHDL语言要素 ;§ 2-2 数据类型 ;编码器 :;(3)位数组类型(Bit_Vector) ;(4)标准逻辑型(Std_Logic ) ;可以看出,这个“标准逻辑”信号定义,比“位即bit”信号对于数字逻辑电路的 逻辑特性描述更完整、更真实。所以在VHDL的程序里,对于逻辑信号的定 义,通常都是采用这个“标准逻辑”信号形式。;§ 2-2-2 数值数据类型;有符号类型数据代表有符号数值,即可以是正数,0,负数;编 译器将有符号数类型作为一个补码的二进制数,最左边的位为 符号位。;library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity data is port(a,b: in unsigned(3 downto 0); --相应改为a,b: in signed(3 downto 0); c: out std_logic); end data; architecture m1 of data is begin c=1 wh
显示全部
相似文档