文档详情

重庆大学EDA课程设计LM75A温度检测重庆大学EDA课程设计LM75A温度检测.pdf

发布:2017-12-24约1.5万字共13页下载文档
文本预览下载声明
重庆大学通信学院10 级EDA 课程设计之温度监测系统 a. 本程序硬件可利用LM75A 实现温度检测。 b. 重庆大学教学用LB0 开发板上LM75A 的硬件管脚标识应加以注意: c. 作者,重大电子10 胡亚文cqu.edu.cn QQ:1376500259 TEL 、 d . 最终效果如下: 1. 顶层电路如下:其有三部分组成,分频、LM75控制,译码显示。 重庆大学10 电子胡亚文 1 分频: (a ) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity div_500 is port( clk:in std_logic; clk_fp:out std_logic); end entity; architecture one of div_500 is signal n:integer range 0 to 249; signal cp:std_logic; begin process(clk) begin if clkevent and clk=1 then if n249 then n=n+1; else n=0;cp=not cp; end if; end if; end process; clk_fp=cp; end one; (b) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; 重庆大学10 电子胡亚文 2 use ieee.std_logic_unsigned.all; entity div_5000 is port( clk:in std_logic; clk_fp:out std_logic); end entity; architecture one of div_5000 is signal n:integer range 0 to 2499; signal cp:std_logic; begin process(clk) begin if clkevent and clk=1 then if n2499 then n=n+1; else n=0;cp=not cp; end if; end if; end process; clk_fp=cp; end one; (c ) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity div_50 is port( clk:in std_logic; clk_fp:out std_logic); end entity; architecture one of div_50 is signal n:integer range 0 to 24; signal cp:std_logic; begin process(clk) begin if clkevent and clk=1 then if n24 then n=n+1; else n=0;cp=not cp; 重庆大学10 电子胡亚文 3 end if; end if; end process; clk_fp=cp; end one; 分频系数任意控制,但需满足器件的时序要求。即SCL 速率低于400Khz(0.5us) 。 LM7
显示全部
相似文档